CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vga lcd

搜索资源列表

  1. 20060205004531924

    0下载:
  2. 这是VGA格式的LCD驱动源代码,请大家多多支持-This the format VGA LCD driver source code, we can support! !
  3. 所属分类:驱动编程

    • 发布日期:2008-10-13
    • 文件大小:2785
    • 提供者:丁鑫要
  1. Chapter8Sample

    0下载:
  2. 用FPGA实现的VGA/LCD显示的相关知识,包含了程序的主要结构和主要功能模块的实现过程
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:130269
    • 提供者:玄冰
  1. VGA_LCD_IPcore

    0下载:
  2. VGA/LCD Corev2.0Specifications
  3. 所属分类:操作系统开发

    • 发布日期:2008-10-13
    • 文件大小:396958
    • 提供者:jieyan
  1. LPC2468_OEM_Board_Users_Guide-Version_1.2_Rev_A.pd

    0下载:
  2. The LPC2468 OEM quick start board user guide provide information for starting ARM LPC2468 quick development.It contains 128M Nand flash, 4 M Nor flash and 32M RAM, VGA LCD and TOUCH SCREEN. Includes complete sources code for UCLINUX development.
  3. 所属分类:嵌入式Linux

    • 发布日期:2008-10-13
    • 文件大小:3833632
    • 提供者:roberto guo
  1. DE2_with_VGA_LCM

    0下载:
  2. altera de2 开发板 vga lcd控制quatus 工程
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2924382
    • 提供者:李志
  1. VGA-LCD源码

    0下载:
  2. 所属分类:文档资料

    • 发布日期:2010-11-23
    • 文件大小:612561
    • 提供者:a254591139
  1. 2440test.rar

    0下载:
  2. 本程序有友善之臂提供,为2440开发板的示例程序,请使用ADS编译。 简单使用说明: 使用H-Jtag或者更高级的仿真器调试,也可以不使用仿真器,借助supervivi的Download & Run功能测试, 下载的时候把DNW的下载地址设置为 0x30000000 即可。 本程序支持如下LCD: - NEC3.5"真彩LCD,分辨率240x320 - 7寸真彩LCD, 分辨率800x480 - VGA扩展模块,分辨率1024x768@70Hz 若要在LCD上正常显示
  3. 所属分类:SCM

    • 发布日期:2017-05-14
    • 文件大小:3746063
    • 提供者:麦伟强
  1. DE2_TV_PAL

    0下载:
  2. 在DE2板子上实现的PAL制视频输入,在VGA显示器上显示的工程,包能用。不像现在网上流传的那个板本!-Implemented on the DE2 board PAL system video input, VGA works on display, including the can. Unlike the spread of the Internet that is now on board!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1441126
    • 提供者:才子建
  1. EPSON(SLD13506)VGA_interface_LCD_arm_linux_driver.

    0下载:
  2. EPSON液晶控制器(SLD13506)VGA接口在arm linux下的驱动,该驱动已成功运用于miniGUI-EPSON LCD Controller (SLD13506) VGA interface arm linux under the driver, this driver has been successfully applied miniGUI
  3. 所属分类:Embeded Linux

    • 发布日期:2017-03-26
    • 文件大小:11211
    • 提供者:李佳
  1. ps2_mouse_interface

    0下载:
  2. ps2接口的鼠标与vga接口的驱动程序,Verilog HDL语言,运用于FPGA-ps2_mouse_interface and vga in Verilog HDL language, applied to FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:5191
    • 提供者:吴笑
  1. PT361G-090510

    1下载:
  2. 乐华PT361液晶电视液晶驱动程序。包含5按键和7按键类,较全系类。适合液晶电视在线烧录编程使用-Leroy PT361 LCD TV LCD driver. Includes 5 buttons and 7 button class class than the entire department. LCD TV programming for online writers use
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-20
    • 文件大小:5842198
    • 提供者:tanglei
  1. VHDL_code

    0下载:
  2. 基于FPGA的AD,DA,LCD,LED,CAN,I2C,PS2,VGA以及一些通讯ASK,FSK等的VHDL源程序,所有程序已通过调试,需要的拿走。-FPGA-based AD, DA, LCD, LED, CAN, I2C, PS2, VGA, and some communications ASK, FSK, etc. VHDL source code, all procedures have been debugging, need to take.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-02
    • 文件大小:14408082
    • 提供者:zhaowenqi
  1. DE2_demonstrations

    0下载:
  2. DE2开发板上的资料,主要是他的例子,含有各种接口程序,如VGA,USB,LCD等-DE2 development board information, mainly his example, contain a variety of interface program, such as VGA, USB, LCD, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-04-20
    • 文件大小:44078966
    • 提供者:翁文天
  1. TVbox_242_V1.0_schematic

    0下载:
  2. CVBS TO VGA 原理图.是个完整的方案,其余的程序,说明文档也会陆续发上来.-CVBS TO VGA schematic. Is a complete program, the rest of the procedures, documentation will all be made up.
  3. 所属分类:Project Design

    • 发布日期:2017-03-23
    • 文件大小:120418
    • 提供者:lichuang
  1. video_interface

    0下载:
  2. 所属分类:Communication

    • 发布日期:2017-05-28
    • 文件大小:11098292
    • 提供者:秦问
  1. WinHEX_v11.1

    0下载:
  2. assembler dung ngat, dung vsual c++ de quan ly chuong trinh, dua len vga, lcd, dung phim bam
  3. 所属分类:assembly language

  1. GM5020_VGA

    0下载:
  2. 超级难得的保密资料 GENESIS 国际大厂的VGA显示器源码~-Graphics Processing IC providing high-quality images for LCD monitors and other pixelated displays
  3. 所属分类:多显示器编程

    • 发布日期:2013-11-22
    • 文件大小:1631901
    • 提供者:NET ANT
  1. vga2lcd-firmware-arm

    0下载:
  2. Final project created for converting VGA singnal to be easy to plug LCD matrix. Project based on LPC2103 ARM processor.
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-24
    • 文件大小:36928
    • 提供者:maros
  1. DE2_70_TV

    0下载:
  2. --- --- --- -Verilog--- --- ---- This design converts DVD video into a format suitable for display on a CRT/LCD monitor. A DVD video source, such as a DVD player, should be connected to the VIDEO IN port on the DE2-70 board. A CRT/LCD monitor shoul
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:168888
    • 提供者:Sami
  1. ColorBar

    0下载:
  2. 本程序用来测试开发板上所有的设备。 1、VGA输出8位色彩的条纹; 2、PS/2键盘输入字符可以传输到LCD和串口调试终端上; 4、拨码与按键开关与4位LED相连-This procedure used to test the development of all on-board equipment. 1, VGA output 8-bit color stripes 2, PS/2 keyboard input characters can be transmitted to
  3. 所属分类:assembly language

    • 发布日期:2017-03-27
    • 文件大小:16074
    • 提供者:杨丽杰
« 1 23 4 5 6 7 »
搜珍网 www.dssz.com